您尚未登录。

楼主 #1 2018-03-24 21:27:09

cityf
会员
注册时间: 2017-11-03
已发帖子: 195
积分: 195

请问 不改动子目录中的v文件的 include语句,如何在do文件中包含目录呢? 在modelsim里面,仿真目录结构多的verilog时

请问 不改动子目录中的v文件的 include语句,如何在do文件中包含目录呢?  在modelsim里面,仿真目录结构多的verilog时,子目录中的v文件的include语句找不到文件,主要是我不想破坏目录结构,大家有什么好办法吗?

离线

页脚

工信部备案:粤ICP备20025096号 Powered by FluxBB

感谢为中文互联网持续输出优质内容的各位老铁们。 QQ: 516333132, 微信(wechat): whycan_cn (哇酷网/挖坑网/填坑网) service@whycan.cn